半導体分野の地殻変動をRISC-V Days Tokyo 2022 Springで自分の味方に!

ここをクリックして無償参加登録

5年間RISC-Vをやってきて、今年はRISC-Vと折り重なる技術革新を日本の番、自分の番にしたいです。RISC-Vの上に折り重なるようにオープンソースの技術革新が起こり、設計方法の自由度が増しています。こうした背景で「ドメインに特化したアーキテクチャ」が台頭しています。

日本も、自信を持って、今、世の中がどうなっているかを勉強してみる必要があります。自分に問いかけるべきは、今、ここで、私ができることは何か? を考えることです。手元にあるものを使って、RISC-Vと、その上に折り重なる技術革新を見て、自分自身が主体者になれる場所を探すことです。資本がなくてもスタグネーションの中で何をできるのか? どうやったら自分たちの将来の糧になるものにつなげられるのか? それが無理なら、どうしたら他の誰かがそうできるように加勢できるのか?

インテルなどの半導体企業がRISC-Vを採用し日本でもRISC-Vは存在感を増しています。RISC-Vが指向したOpen Architecture は世界で着実に広がっています。ルネサスエレクトロニクスによるRISC-V採用、インテルのRISC-V International に本格参加で更に勢いが付きそうです。CHIPS法案で加速されるAI関連や米国政府関係のLSI開発の中でもRISC-Vの採用が広がっています。

シーメンスEDA社 は 同社の最新EDAテクノロジーについて語ってくれるはずです。最近では東芝デバイス&ストレージ株式会社がシーメンスEDAのツールを採用しました。同社のCalibreは、設計最終段階でデータが最も膨大になる設計フェーズでデザインルール検証を行います。大規模SoCを最先端プロセスで製造する企業には必須のツールと言われています。

俗にGAFAなどと呼ばれるグローバル・クラウドサービス・プロバイダは、検索エンジンのページランク機構、データセンタAI処理、ビデオ放映の実時間トランスコーディングなどを、自社で設計製造した専用チップで処理します。スマートフォンの主要プレイヤーも専用システムオンチップ自社設計製造します。こうしたチップは「ドメイン専用アーキテクチャ」と呼ばれ、スマートフォンなどの特定応用に特化されています。

GOOGLE社は、オープンソースEDAテクノロジーについて発表します。オープンソースEDA(設計ツール),オープンソースPDK(プロセス開発キット), オープンソースIP(デザインハーネスなどの知的財産)を提供すべく尽力しています。カスタムチップ設計試作製造がより身近になります。

半導体設計の多くが、半導体会社の手から離れて、大手中小のシステムメーカ、半導体ユーザ、ベンチャー企業、更には個人など幅広いエンジニアの手に広がっていきそうです。GoogleがDARPA支援を受けて進めるOpenROAD、OpenLane のアプローチも、RISC-V同様に半導体設計の世界を変革します。AI手法を応用した半導体設計技術の話も興味深いです。半導体生産のプロセス管理にAI応用が進んでいるようですが、設計技術面でもいろいろな動きがあります。

Imperas社は、プロセッサメーカが秘中の秘としていたプロセッサの設計検証をするツールを提供します。3つの方向性の異なるRISC-Vハードウエアの設計ツールの企業が集合したことは偶然ではありません。ドメイン専用アーキテクチャの時代が到来したことを示しています。

SHコンサルティング株式会社は、RISC-Vを使ったIoTシステムを提供します。自社製RISC-V ASICの開発にも着手しました。

DTSインサイト社は、広い分野の組み込みソフトウエア、ハードウエアの製品、サービスを提供する企業です。そして、幅広く柔軟にカスタマイズ可能なSiFive社のRISC-Vの日本の代理店でもあります。

日本の近隣諸国では、優れたソフトウエア開発力を持つ国も多くあります。こうした国との国際協力連携も重要性を増しています。さる3月19日に、岸田首相がインドを訪問しました。地政学的な課題を打開するため「バイラテラル」(双方向)という言葉が使われたと思いますが、RISC-Vに代表される、オープンソース ソフトウエア ハードウエアにおいても「バイラテラル」の概念は重要です。半導体設計において、ソフト設計は表裏一体です。今回は、インド工科大学(IIT)、レッドハット中国、などをはじめとしたアジアでソフトウエアやハードウエアを教育している方々にも参加いただき、未来の技術連携を模索したいと考えています。

イベント名:

RISC-V Days Tokyo 2022 Spring

リスクファイブ デイズ 東京 2022 スプリング

開催日時・方法:

5月31日(火) 13:00 ~ 17:00(日本時間)

6月1日(水) 10:00 ~ 15:00(日本時間)

6月2日(木) 10:00 ~ 15:00(日本時間)

Vimeoによるネット配信でオンライン開催します。

 

参加登録サイト: https://peatix.com/event/3177358/view

会議情報サイト: https://riscv.or.jp/risc-v-days-tokyo-2022-spring/

 

SNS:

Web: https://riscv.or.jp/

Twitter: @riscv_a

Slack: https://risc-v-association.slack.com

Facebook: https://www.facebook.com/riscv.a

 

パートナー:

プラチナ

シーメンスEDA(Siemens Digital Industries Software:米国)

Imperas Software Ltd.(英国)

グーグル(Google LLC:米国)

株式会社DTSインサイト(日本)

SHコンサルティング株式会社(日本)

 

シルバー

IARシステムズ株式会社(日本)

株式会社SIGNATE(日本)

GigaDevice Semiconductor社(中国)

イーソルトリニティ株式会社(日本)

イマジネーションテクノロジーズ(Imagination Technologies:英国)

エフィニックス(Efinix, Inc.:米国)

Codasip (本社ドイツ)

MIPS Tech, LLC(米国)

 

協賛

RISC-Vインターナショナル (RISC-V International :スイス), 一般社団法人 組込みシステム技術協会(日本), インド工科大学マドラス校

 

一般プレゼン募集:5月中旬に採択決定予定。https://riscv.or.jp/en/risc-v-days-tokyo-2022-spring-en/cfp

 

主催者: 一般社団法人 RISC-V協会

 

事務所所在地、電話番号、郵便番号、担当者

〒104-0061 東京都中央区銀座7丁目18-13-502

河崎俊平 (理事長)

齊藤康幸 (事務局)

電話: 03-5565-0556、E-mail: yasuyuki<dot>saito<at>riscv<dot>or<dot>jp

 

RISC-V協会の刊行物

Chiselチゼルで始めるデジタル回路設計 -Digital design with Chisel SECOND EDITION- 第二版(日本語版) 単行本(ソフトカバー)

出版社 ‏ : ‎ RISC-V協会 (2021/11/16)

発売日 ‏ : ‎ 2021/1/1

言語 ‏ : ‎ 日本語

単行本(ソフトカバー) ‏ : ‎ 202ページ

ISBN-10 ‏ : ‎ 4991233801

ISBN-13 ‏ : ‎ 978-4991233807

価格:2,200円(税込)